Lam Research stimule les avancées technologiques pour les semi-conducteurs de nouvelle génération (SEMICON Taiwan 2022)


  • Lam Research était le sponsor platine du 27e rassemblement de SEMICON Taiwan, qui s’est tenu du 14 au 16 septembre.
  • Plus de 45 000 participants ont examiné 2 450 stands d’exposition montrant les dernières tendances de l’industrie et les progrès technologiques.

Les experts de Lam ont été invités à SEMICON Taiwan pour prononcer des discours liminaires au MEMS & Sensor Forum, au Smart Manufacturing Forum, au IC Forum et au Heterogeneous Integration Global Summit. Lam a également été invitée à prendre la parole lors du « Programme de développement de la main-d’œuvre – Séminaire sur les femmes dans les semi-conducteurs (WiS) » pour discuter du leadership des femmes dans le domaine des STEM.

Voici un récapitulatif des principales présentations des participants de Lam Research au SEMICON Taiwan 2022.

Cliquez ici pour voir les offres d’emploi chez Lam

Forum MEMS et capteurs

Dans son discours « Relever les défis de la fabrication à grand volume et les inflexions technologiques dans les MEMS », le Dr David Haynes, vice-président du marketing stratégique, Customer Support Business Group chez Lam Research, a déclaré que les dispositifs de détection MEMS évoluent rapidement dans le nouveau domaine des capteurs intelligents.

  • Les MEMS devraient gagner une application plus large dans l’automobile, l’Internet des objets, la 5G, la maison intelligente et d’autres marchés.
  • De plus, les technologies de fabrication MEMS et MEMS devraient jouer un rôle clé dans l’activation du métaverse.

Lam Research a formulé trois stratégies pour aider les clients à surmonter les défis de fabrication à haut volume des MEMS :

  1. Tirez parti de la technologie de pointe de Lam pour activer la capacité de processus MEMS
  2. Relever les défis à forte valeur ajoutée des clients MEMS et capteurs
  3. Être un partenaire dans le développement de MEMS et de capteurs et l’optimisation des processus

Haynes souligne que Lam Research a lancé le Syndion® famille de produits pour wafers de 300 mm et développe une nouvelle génération de technologie DSiE spécifiquement pour les besoins du marché MEMS.

  • De plus, Lam investit activement dans le développement de nouveaux matériaux, l’extension de la durée de vie des outils de production et l’extensibilité de 200 à 300 mm.

L’industrie développe également une nouvelle génération de dispositifs MEMS piézoélectriques pour conduire le changement de paradigme pour les dispositifs MEMS. Étant donné que les matériaux piézoélectriques sont des matériaux non volatils utilisés dans le processus de gravure, ils sont plus difficiles à fabriquer.

Le Dr Joseph Ervin, directeur principal du groupe de produits logiciels pour semi-conducteurs chez Lam Research, a discuté des défis et des solutions à 3 nm et au-delà dans une présentation intitulée « Utilisation de jumeaux numériques, de modèles de processus prédictifs et d’ingénierie des matériaux avancés dans le développement de semi-conducteurs de nœud suivant ».

Joseph Ervin répond à une question du public

Ervin explique qu’en utilisant la lithographie par immersion à 193 nm (193i) avec plusieurs motifs, les fabricants de puces ont pu générer des fonctionnalités avancées jusqu’à 7 nm. L’utilisation de la lithographie 193i est devenue de plus en plus problématique à 5 nm et au-delà, en raison du grand nombre d’étapes de structuration et de processus nécessaires à des tailles d’éléments plus petites.

  • Avec l’avènement de la lithographie Extreme Ultraviolet (EUV), les caractéristiques les plus difficiles à 3 nm et au-delà peuvent désormais être modelées.
  • En conséquence, EUV est devenu le choix de l’industrie pour modéliser les derniers nœuds, en raison de sa résolution dimensionnelle améliorée et de la simplification de la modélisation et du traitement.

Malheureusement, la transition vers l’exposition lithographique EUV est probablement insuffisante en soi. 193i était une technologie de lithographie à longue durée de vie, et la mise à l’échelle de la lithographie seule à 193i n’était pas suffisante pour mettre à l’échelle la logique des nœuds semi-conducteurs antérieurs.

  • Différentes technologies d’intégration sont nécessaires pour la lithographie 193i, telles que le double motif auto-aligné (SADP) et le quadruple motif auto-aligné (SAQP), le bloc de coupe, l’innovation matérielle, la co-optimisation de la technologie de conception (DTCO), le motif auto-aligné , et d’autres.
  • Les spécifications de structuration sont extraordinairement difficiles à 3 nm, avec des processus qui se rapprochent des limites de la physique. Le rendement peut être affecté par des variations indésirables et des défauts induits par la stochastique pendant le traitement EUV, créant une rugosité de bord de ligne (LER), une rugosité de largeur de ligne (LWR) et une erreur de placement de bord (EPE) involontaires.
  • Pour aggraver ces problèmes, la lithographie, la structuration et les processus en aval doivent être co-optimisés pour avoir un rendement et un coût acceptables.

Les modèles de processus prédictifs, les jumeaux numériques, le contrôle automatisé de la variabilité et les technologies d’optimisation des matériaux peuvent être utilisés pour développer des dispositifs de nouvelle génération utilisant la lithographie EUV.

  • Les innovations matérielles, la modélisation holistique, l’intégration, le contrôle de la fabrication et le DTCO continueront d’être nécessaires à 3 nm et moins, même avec la lithographie EUV.
  • En combinant des techniques de photorésist sec EUV, des modèles de processus avancés, une structuration holistique et une intégration co-optimisée, Ervin affirme que la lithographie EUV devrait pouvoir évoluer jusqu’à 3 nm et au-delà.

Cliquez ici pour voir les offres d’emploi chez Lam

Forum CI

Le Dr Yang Pan, vice-président d’entreprise du développement des technologies avancées chez Lam Research, a présenté le « Dry Photoresist System for EUV Patterning », déclarant que la technologie de dépôt de photorésist sec et de développement à sec de Lam est une approche révolutionnaire pour les dispositifs logiques et DRAM de nouvelle génération. devrait aider à tout activer, de l’apprentissage automatique et de l’intelligence artificielle aux appareils mobiles.

Yang Pan a présenté virtuellement

  • La réserve sèche EUV excelle en termes d’absorption EUV, de taille d’unité de base, de stochastique et d’effondrement du motif.
  • Le système de réserve sèche EUV permet d’excellentes performances et un excellent coût grâce à la co-optimisation du processus unitaire.
  • « Cette technologie innovante brise les compromis entre la dose, la rugosité et les performances des défauts », déclare Pan.

Pour obtenir des défauts de modelage EUV extrêmement faibles à faible dose, Lam Research a conçu une approche holistique pour co-optimiser le flux complet de modelage EUV avec des étapes de différenciation clés, telles que le dépôt de photorésist sec, la cuisson post-exposition (PEB), le développement à sec et gravure par transfert de motif.

  • Le photorésist sec peut fournir une composition simple, homogène et stable de réseau d’oxyde métallique après dépôt, exposition EUV et PEB.
  • Le contraste des matériaux de la résine photosensible sèche est amélioré par la technologie exclusive de sous-couche et l’optimisation PEB pour obtenir une sélectivité améliorée pour le développement à sec et la gravure par transfert de motif en aval.
  • Le développement à sec permet à Lam d’ajuster les conditions pendant le processus de développement du motif pour fournir une intégrité optimale de la résine photosensible sèche et atténuer tout résidu pour la performance des défauts du motif.
  • Le développement à sec offre des avantages clés en matière de durabilité en consommant moins d’énergie et cinq à dix fois moins de matières premières que les procédés de résine traditionnels.

Pan explique en outre que le système de structuration EUV sec de Lam peut être étendu pour définir des piliers et des trous aux pas pour les futures technologies de logique et de mémoire en utilisant la technologie de lithographie ultraviolette extrême (NA EUV) à haute ouverture numérique.

Sommet mondial de l’intégration

Ces dernières années, l’intégration hétérogène a stimulé l’innovation en matière de conditionnement pour les applications haut de gamme telles que les processeurs d’application () et calcul haute performance (CHP). Ces applications pilotent des fonctionnalités plus petites sur les marchés de l’emballage au niveau de la plaquette (FO-WLP) et des substrats. Pour offrir une meilleure rentabilité et élargir le champ d’application de la technologie de sortance, le conditionnement au niveau du panneau de sortance (FO-PLP) est devenu une autre option pour les fabricants de puces.

John Ostrowski a parlé de la technologie de diffusion

  • Cependant, il reste encore de nombreuses difficultés à surmonter au niveau du processus du panel.
  • John Ostrowski, directeur général de SABRE 3D chez Lam Research, a abordé ces questions dans son discours, « Défis de l’équipement pour le traitement au niveau du panneau ».

Ostrowski dit que puisque la technologie de processus de distribution à faible coût sur les panneaux n’est pas mature, les rendements sont faibles, ce qui limite la taille du marché FO-PLP. L’industrie n’a pas encore beaucoup investi dans la recherche et le développement de FO-PLP.

  • Avec un petit marché et aucune voie claire pour augmenter le volume, peu d’entreprises ont été disposées à investir des sommes importantes en R&D pour résoudre ces problèmes de rendement.

Ostrowski affirme que les exigences techniques pour le FO-PLP et les substrats convergent et que le volume et la croissance du marché des substrats sont importants. La convergence des deux marchés des panneaux devrait créer les volumes nécessaires pour permettre davantage d’investissements en R&D sur le marché des panneaux afin d’aider à résoudre les principaux problèmes limitant les rendements.

  • Par conséquent, les investissements devraient augmenter à mesure que le volume augmente et l’amélioration du rendement des panneaux devrait générer plus de volume de panneaux dans une boucle de rétroaction positive.

Un autre problème avec l’emballage au niveau du panneau a été le manque de normes. Traditionnellement, de nombreuses tailles différentes de panneaux ont été utilisées dans l’industrie. Ce problème semble se résoudre de lui-même. Pour les substrats, les panneaux 510 mm x 515 mm et pour les panneaux FO-PLP 600 mm x 600 mm deviennent la norme.

  • Au-delà de la taille du panneau, les normes d’interface et d’équipement sont également importantes pour permettre l’utilisation d’un ensemble d’équipements commun pour les deux applications.

Ostrowski Remarques que la convergence des exigences techniques sur les substrats et FO-PLP mènera à la l’adoption de plus de normes. Nos efforts porteront sur le développement d’une plate-forme système commune pour réduire les coûts et relever les défis, notamment le contrôle des défauts, le contrôle de l’uniformité, la productivité et la rentabilité.

Programme de développement de la main-d’œuvre – Séminaire Women in Semiconductor (WiS)

Le séminaire Women in Semiconductor (WiS) de cette année s’intitulait « Libérez le potentiel du leadership féminin ». Annie Chou, directrice des opérations de pièces de rechange et de la logistique chez Lam Research, et d’autres femmes cadres exceptionnelles ont été invitées à discuter et à partager leurs expériences de travail dans l’industrie des semi-conducteurs.

Annie Chou a encouragé les femmes à saisir les opportunités

  • Chou, qui travaillait auparavant au service financier de Lam, est sortie de sa zone de confort lorsqu’elle a rejoint un programme de rotation des postes pour soutenir les clients internes et externes en première ligne.
  • Elle encourage les femmes de l’industrie à être courageuses pour saisir les opportunités et construire une meilleure carrière.

Lisez une interview avec Soon Kuek, responsable des opérations à Lam Malaysia et Alyson Crafton, responsable de l’organisation des services communs des systèmes d’information mondiaux (GIS)

Alors que l’industrie des semi-conducteurs continue de progresser le long de « More Moore » jusqu’à 3 nm et au-delà, ainsi que de créer des opportunités « More than Moore » pour l’intégration hétérogène et les processus spéciaux, Lam Research a démontré sa force technologique à SEMICON Taiwan 2022.

Lam continue d’investir dans le développement de processus avancés, la résine photosensible sèche EUV, la fabrication à grand volume de MEMS et l’intégration hétérogène en réponse aux tendances de l’industrie. Lam Research poursuivra résolument l’innovation, repoussera les limites des limites technologiques et développera des solutions qui permettront à la fabrication de semi-conducteurs d’aller de l’avant.

Cliquez ici pour voir les offres d’emploi chez Lam

Frances Huang travaille dans la communication et est basée à Taiwan


Cet article contient des déclarations prospectives au sens de la loi Private Securities Litigation Reform Act de 1995. Les déclarations prospectives comprennent toutes les déclarations qui ne sont pas des déclarations de faits historiques, y compris les déclarations concernant : les résultats commerciaux attendus ; les attentes du marché, de l’industrie et des segments de l’industrie ; plans de développement et de lancement de produits ; performances du produit ; la demande des clients et de l’industrie ; et notre capacité à exécuter avec succès des plans ou des stratégies d’affaires, de produits et de croissance. Les déclarations prospectives sont soumises à des risques et incertitudes qui pourraient faire en sorte que les résultats réels diffèrent sensiblement des attentes exprimées, y compris les risques et incertitudes décrits dans nos documents déposés auprès de la Securities and Exchange Commission des États-Unis, notamment les facteurs de risque décrits dans notre rapport annuel. sur le formulaire 10-K et les rapports trimestriels sur le formulaire 10-Q. Vous ne devez pas vous fier indûment aux déclarations prospectives. Nous n’assumons aucune obligation de mettre à jour les déclarations prospectives.

Laisser un commentaire